EDA电力电子课程设计报告
东北大学电力电子设计程序报告
一、设计实验目的: (2)
二、设计实验说明: (2)
三、数字时钟组成及功能: (2)
四、设计内容及步骤: (2)
六、设计输入与仿真输出 (2)
七、实验总结 (12)
1
东北大学电力电子设计程序报告
一、设计实验目的:
在MAX+plusII软件平台上,熟练运用VHDL语言,完成数字时钟设计的软件编程、编译、综合、仿真,使用EDA实验箱,实现数字时钟的硬件功能。
二、设计实验说明:
1、数字时钟主要由:分频器、扫描显示译码器、六十进制计数器(或十进制计数器与6进制计数器组成)、六十进制计数器(或十进制计数器与6进制计数器组成)、十二进制计数器(或二十四进制计数器)电路组成。在整个时钟中
计时脉冲,
最关键的是如何获得一个精确的1H
Z
2、数字时钟显示由时(12或24进制任选)、分(60进制)、秒(60进制)组成,利用扫描显示译码电路在六个数码管显示。
三、数字时钟组成及功能:
计时脉冲;
1、分频率器:用来产生1H
Z
2、十二或二十四进制计数器:对时进行计数
3、六十进制计数器:对分和秒进行计数;
4、六进制计数器:分别对秒十位和分十位进行计数;
5、十进制计数器:分别对秒个位和分个位进行计数;
6、扫描显示译码器:完成对7字段数码管显示的控制;
四、设计内容及步骤:
1、根据电路持点,用层次设计概念。将此设计任务分成若干模块,规定每一模块的功能和各模块之间的接口,同时加深层次化设计概念;
2、软件的元件管理深层含义,以及模块元件之间的连接概念,对于不同目录下的同一设计,如何熔合;
3、适配划分前后的仿真内容有何不同概念,仿真信号对象有何不同,有更深一步了解。熟悉了CPLD/FPGA设计的调试过程中手段的多样化;
4、按适配划分后的管脚定位,同相关功能块硬件电路接口连线;
5、所有模块尽量采用VHDL语言设计。
六、设计输入与仿真输出
(一)、分频器程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity fenpin2 is
port(clk:in std_logic;
2
东北大学电力电子设计程序报告
clk_out:out std_logic);
end;
architecture art of fenpin2 is
signal count:integer range 0 to 4999999;,
signal clk_data:std_logic;
begin
process(clk,count)
begin
if clk'event and clk='1' then
if count=4999999 then
count<=0;
clk_data<=not clk_data;
else count<=count+1;
end if;
end if;
clk_out<=clk_data;
end process;
end art;
仿真输出:
分频器实现将5MHZ调频到1HZ,是通过统计脉冲的个数,将多个脉冲合并成一个脉冲输出,从而实现调频的目的。
(二)、设置端程序
该程序主要作用是控制秒,分,时脉冲和进位信号,使能端控制以及时间设置
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
ENTITY adjuster IS
PORT(
-- 输入时钟1Hz
CLK1HZ : IN STD_LOGIC;
-- 双键校时:SET & MODE
SET : IN STD_LOGIC;
MODE : IN STD_LOGIC;
-- 计数使能,接VCC
EN : IN STD_LOGIC;
-- 秒计数器进位输出
S_ENOUT : IN STD_LOGIC;
-- 分计数器进位输出
M_ENOUT : IN STD_LOGIC;
-- 输出信号
3
东北大学电力电子设计程序报告
CLK : OUT STD_LOGIC;
-- 输出使能
S_CE : OUT STD_LOGIC;
M_CE : OUT STD_LOGIC;
H_CE : OUT STD_LOGIC
);
END adjuster;
ARCHITECTURE rtl of adjuster IS
SIGNAL SEL : STD_LOGIC;
SIGNAL SCE_REG : STD_LOGIC;
SIGNAL MCE_REG : STD_LOGIC;
SIGNAL HCE_REG : STD_LOGIC;
SIGNAL CON : INTEGER RANGE 0 TO 3 := 0;
BEGIN
------------------------------------------------------------ -- 模为4的计数器
------------------------------------------------------------ COUNT:PROCESS(MODE,SET)
BEGIN
IF rising_edge(MODE) THEN
IF CON = 3 THEN
CON <= 0;
ELSE
CON <= CON + 1;
END IF;
END IF;
END PROCESS;
------------------------------------------------------------ -- 译码输出
------------------------------------------------------------ CON_PRO:PROCESS(CON)
BEGIN
CASE CON IS
WHEN 0=> SEL <= '1';
SCE_REG <= '0';
MCE_REG <= '0';
HCE_REG <= '0';
WHEN 1=> SEL <= '0';
SCE_REG <= '1';
MCE_REG <= '0';
HCE_REG <= '0';
4
东北大学电力电子设计程序报告
WHEN 2=> SEL <= '0';
SCE_REG <= '0';
MCE_REG <= '1';
HCE_REG <= '0';
WHEN 3=> SEL <= '0';
SCE_REG <= '0';
MCE_REG <= '0';
HCE_REG <= '1';
WHEN OTHERS => SEL <= '0';
SCE_REG <= '0';
MCE_REG <= '0';
HCE_REG <= '0';
END CASE;
END PROCESS;
------------------------------------------------------------
-- 2选1数据选择器
------------------------------------------------------------
SEL_PRO:PROCESS(SEL)
BEGIN
CASE SEL IS
WHEN '0'=> S_CE <= SCE_REG;
M_CE <= MCE_REG;
H_CE <= HCE_REG;
CLK <= SET;
WHEN '1'=> S_CE <= EN;
M_CE <= S_ENOUT;
H_CE <= M_ENOUT;
CLK <= CLK1HZ;
WHEN OTHERS => S_CE <= EN;
M_CE <= S_ENOUT;
H_CE <= M_ENOUT;
CLK <= CLK1HZ;
END CASE;
END PROCESS;
END rtl;
仿真输出:
双键校时端SET、MODE均设置为高电平,使能端设为高电平,设置秒脉冲进位,通过仿真图可以看出,adjuster能够实现所期望的功能
仿真结果见下图:
5
东北大学电力电子设计程序报告
(三)、秒和分程序
该模块有清零端、脉冲输入端、使能端,输出分为高低位。LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
ENTITY counter60 IS
PORT(CLR: IN STD_LOGIC;
-- 输入1Hz时钟
CLK1HZ : IN STD_LOGIC;
…… 此处隐藏:6207字,全部文档内容请下载后查看。喜欢就下载吧 ……
相关推荐:
- [实用模板]第八章:法国“新浪潮”与“左岸派”
- [实用模板]2021年北京上半年临床医学检验技师生物
- [实用模板]SAP GUI 7.10客户端安装配置文档
- [实用模板]2001年临床执业医师资格考试综合笔试试
- [实用模板]36机场工作实用英语词汇总结
- [实用模板](一)社会保险稽核通知书
- [实用模板]安全教育主题班会材料
- [实用模板]濉溪县春季呼吸道传染病防控应急演练方
- [实用模板]长沙房地产市场周报(1.30-2.3)
- [实用模板]六年级数学上册典中点 - 图文
- [实用模板]C程序设计(红皮书)习题官方参考答案
- [实用模板]中国证监会第一届创业板发行审核委员会
- [实用模板]桥梁工程复习题
- [实用模板]2011学而思数学及答案
- [实用模板]初中病句修改专项练习
- [实用模板]监理学习知识1 - 图文
- [实用模板]小机灵杯四年级试题
- [实用模板]国贸专业毕业论文模板
- [实用模板]教育学概论考试练习题-判断题4
- [实用模板]2015届高考英语一轮复习精品资料(译林
- 00Nkmhe_市场营销学工商管理_电子商务_
- 事业单位考试法律常识
- 诚信教育实施方案
- 吉大小天鹅食品安全检测箱方案(高中低
- 房地产销售培训资料
- 高一地理必修1复习提纲
- 新概念英语第二册lesson_1_练习题
- 证券公司内部培训资料
- 小学英语时间介词专项练习
- 新世纪英语专业综合教程(第二版)第1册U
- 【新课标】浙教版最新2018年八年级数学
- 工程建设管理纲要
- 外研版 必修一Module 4 A Social Surve
- Adobe认证考试 AE复习资料
- 基于H.264AVC与AVS标准的帧内预测技术
- 《食品检验机构资质认定管理办法》(质
- ABB变频器培训课件
- (完整版)小学说明文阅读练习题及答案
- 深思洛克(SenseLock) 深思IV,深思4,深
- 弟子规全文带拼音




